DatasheetQ Logo
Electronic component search and free download site. Transistors,MosFET ,Diode,Integrated circuits

P/N + 설명 + 콘텐츠 검색

검색어 :
부품명(s) : VSC8173 VSC8173QQ
Vitesse Semiconductor
Vitesse Semiconductor
상세내역 : 9.9-10.7Gb/s 16:1 Multiplexer with Clock Generator
부품명(s) : VSC8185
Vitesse Semiconductor
Vitesse Semiconductor
상세내역 : 11.5-12.5Gb/s 16:1 Multiplexer with Clock Generator
부품명(s) : VSC8173
Vitesse Semiconductor
Vitesse Semiconductor
상세내역 : 9.9-10.7Gb/s 16:1 Multiplexer with Clock Generator (Rev - 2000)
부품명(s) : VSC8175
Vitesse Semiconductor
Vitesse Semiconductor
상세내역 : 9.9-10.7Gb/s 16:1 Multiplexer and Clock Generator with High-speed Clock Outpu
부품명(s) : VSC8185
Vitesse Semiconductor
Vitesse Semiconductor
상세내역 : 11.5-12.5Gb/s 16:1 Multiplexer and Clock Generator with High-Speed Clock Output (Rev - 2002)
상세내역 : Industrial MICRO SD Memory Card
Motorola => Freescale
Motorola => Freescale
상세내역 : 155Mb/s / 622Mb/s Transmitter (Multiplexer) with Clock Generation
Winbond
Winbond
상세내역 : Clock Generator
부품명(s) : 4201A P4201A
Intel
Intel
상세내역 : Clock Generator
부품명(s) : BU2185F
ROHM Semiconductor
ROHM Semiconductor
상세내역 : Clock Generator
부품명(s) : AK8130BV
 Asahi Kasei Microdevices
Asahi Kasei Microdevices
상세내역 : Multi Clock Generator with VCXO
부품명(s) : AK8130F
 Asahi Kasei Microdevices
Asahi Kasei Microdevices
상세내역 : Multi Clock Generator with VCXO
상세내역 : Clock Generator with Dual PLLs
부품명(s) : AK8130C
 Asahi Kasei Microdevices
Asahi Kasei Microdevices
상세내역 : Multi Clock Generator with VCXO
부품명(s) : AK8130D
 Asahi Kasei Microdevices
Asahi Kasei Microdevices
상세내역 : Multi Clock Generator with VCXO
부품명(s) : MD-161 MD-161PIN
M/A-COM Technology Solutions, Inc.
M/A-COM Technology Solutions, Inc.
상세내역 : Termination Insensitive Mixer, 1 - 500 MHz
Unspecified
Unspecified
상세내역 : PLL Clock Generator IC with VCXO
부품명(s) : FS6128-07 11640-843
AMI Semiconductor
AMI Semiconductor
상세내역 : PLL Clock Generator IC with VCXO
Integrated Circuit Systems
Integrated Circuit Systems
상세내역 : Motherboard Clock Generator
Unspecified
Unspecified
상세내역 : Dual Clock Generator
12345678910 Next



All Rights Reserved© datasheetq.com  [Privacy Policy ] [ Request Datasheet] [Contact Us]